推广 热搜: 西门子PLC  危化品安全,爆炸  变送器  触摸屏  培训  阀门  PLC  电机  变频器  服务机器人 

基于CPLD的TDI/CCD图像传感器驱动时序设计

   日期:2013-03-23     来源:工控之家网    作者:工控之家    浏览:55    评论:0    
摘  要:提出了基于 CPLD(复杂可编程逻辑器件)实现 TDI /CCD (时间延时积分、电荷耦合器件)驱动电路的方法。选用 Altera 公司的 MAX7000AE 系列 CPLD 作为硬件设计平台,运用 VHDL 语言对驱动时序进行硬件描述,采用 QuartusII 对所设计的驱动时序发生器进行了仿真。测量与仿真结果证明是可行的。

关键词:图像传感器;时间延时积分、电荷耦合器件;复杂可编程逻辑器件;驱动时序发生器

引 言

  电荷耦合器件(CCD)作为新兴固体成像器件,具有体积小、重量轻、分辨率高、灵敏度高、可靠性好等优点,广泛应用于图像传感、景物识别、非接触测量等领域。TDI/CCD (时间延时积分、电荷耦合器件),与普通线阵CCD相比,一个主要优点是能够在较低的光照条件下工作;同时,TDI/CCD通过多次曝光可减少像元间响应不均匀和固定图形噪声的影响,提高可见光CCD的灵敏度和均匀性。TDI/CCD在航天航空等领域有着非常广泛的用途。

  TDI/CCD应用的关键是驱动信号的产生及输出信号的处理。由于不同厂家不同型号TDI/CCD 器件的驱动电路各不相同,致使驱动信号的产生必须根据具体的TDI/CCD器件时序要求来设计驱动电路。如何快速方便地产生TDI/CCD驱动时序,成为TDI/CCD应用的关键。使用专用IC驱动TDI/CCD集成度高但是费用高,灵活性和可移植性不好;传统的时序发生器实现方法如单片机驱动法、EPROM 驱动法、直接数字驱动法等,由于速度和功能上的限制,已不能满足TDI/CCD应用向高速、小型化、智能化发展的需要。可编程逻辑器件CPLD以其高集成度、高速度、高可靠性、开发周期短可满足这些需要,与VHDL语言的结合可以很好地解决上述问题。由于可编程逻辑器件可以通过软件编程对其硬件的结构和工作方式进行重构,从而使得硬件的设计可以如同软件设计那样方便快捷。

  本文分析了Fairchild公司的时间延时积分线阵电荷耦合器件CCD525芯片的工作过程和对驱动时序的要求,在此基础上设计出合理的时序控制方案。选用复杂可编程逻辑器CPLD 作为硬件设计平台,使用VHDL语言对驱动电路方案进行硬件描述,采用QuartusII对所设计的时序发生器成功地进行了系统仿真。

1 可选输出CCD 驱动时序发生器原理

  1. 1  芯片结构说明

  加拿大 Fairchild 公司的 CCD525 是一种有效像元数为2048×96 的四抽头线阵 TDI/CCD,其像敏单元尺寸为13m(水平)×13μm(垂直),TDI级数为 24,32,48,64,96可调;每个抽头输出频率为 25MHZ,总输出频率为 100MHZ。原理结构如图1 所示:


图1 CCD525 原理结构图

  它的有效象素单元分四列转移并分别由Vout1、Vout2、Vout3、Vout4三个端口输出;驱动脉冲由垂直转移时钟V1、V2、V3和水平转移时钟H1、H2、H3、H4,复位脉冲(fw),采样脉冲(cy),同步脉冲(tb),钳位脉冲(qw),背景撇出栅脉冲(PIG),积分信号传输到多路传输器控制电极脉冲(PTG)构成。其中钳位脉冲使输出信号钳制在零信号电平上。这些信号均由CCD 驱动时序发生器产生。

  1. 2  驱动时序分析

  TDI/CCD 的一个工作周期分为光积分阶段和电荷转移两个阶段。在光积分阶段,存储栅和模拟移位寄存器隔离,不发生电荷转移,而是分别工作,存储栅进行光积分,采集到的光信号在四相水平驱动脉冲的作用下向四个输出端转移电荷,由Vout1、Vout2、Vout3、Vout4 端分别并行输出,复位信号清除移位寄存器中的残余电荷。在电荷转移阶段,存储栅和模拟移位寄存器之间导通,在三相垂直转移脉冲驱动下实现感光阵列光积分所得的光生电荷并行地分别转移到模拟移位寄存器的电荷势阱中。此时,输出脉冲停止工作,输出端没有有效信号输出。以下是CCD525芯片技术资料中提供的所需时序,图2,图3所示:



图 3 驱动电路所需水平转移时钟时序

  进行电荷转移时,三相控制时序依次变化。在整个周期里,CDS(相关双采样)控制信号fw、qw、cy一直有效,占空比较小.由于像元信号噪声的随机性,为了提高了系统信噪比,采用了对像元信号列合并的方式,即每进行两个像元的信号移出(水平转移脉冲信号控制) ,进行一次复位、钳位、采样,且应对第一个像元复位、钳位,在第二个像元处采样。 这样在CDS(相关双采样) 的信号存储电容上,将相邻两像元的信号电荷进行积分叠加后输出,实现合并。

2 CCD 驱动时序的CPLD 实现

  2.1  复杂可编程逻辑器件( CPLD)

  复杂的可编程逻辑器件(CPLD)包括可编程逻辑宏单元、可编程I/ O 单元、可编程内部连线三种结构,在速度上有一定的优势,成为高频领域应用的首选器件。结合系统工作频率高的特点,这里选用A1tera公司MAX 7000系列器件。MAX 7000系列是工业界速度最快的高集成度可编程逻辑器件系列,可模仿TTL ,并且可将SSI(小规模集成电路) 、MSI(中规模集成电路) 、LSI(大规模集成电路)的逻辑功能高密度集成。

  2.2  CCD 驱动时序的 VHDL 描述

  复杂的驱动时序关系使得驱动时序发生器的设计规模较大、复杂程度较高,很难用传统的逻辑图和布尔方程描述,必须采用更抽象层次的描述方法,以实现自顶向下的设计。硬件描述语言(VHDL)可以实现高层次复杂逻辑的设计,实现了硬件设计的软件化。设计TDI/CCD 驱动时序发生器,关键是用VHDL语言描述其驱动时序关系以及消除CPLD输出信号产生的毛刺。设计中选用Altera 公司的复杂可编程逻辑器件 MAX7000AE ,在EDA 软件开发平台 QuartusII.v5上,运用VHDL语言编程,实现了TDI/CCD驱动时序发生器的设计。首先定义时序驱动器的输入输出端:一个输入端:时钟输入(clk)输入;十二个输出信号:垂直转移时钟V1、V2、V3和水平转移时钟H1、H2、H3、H4,复位脉冲(fw),采样脉冲(cy),同步脉冲(tb),钳位脉冲(qw),背景撇出栅脉冲(PIG),积分信号传输到多路传输器的控制电极脉冲(PTG)。CCD图像传感器的驱动实质上是多重嵌套的循环。其中,感光阶段和转移阶段构成了时序循环外环,一次循环代表了一帧图像从曝光到转移的全过程;感光阶段存储区的逐行转移构成时序循环的中环,一次循环结束表明CCD感光阶段结束;在行转移信号结束后,一行图像的元素逐列输出,构成了时序循环的内环。 一个循环周期代表一帧图像中一行像素的转移。 各循环之间采用计数器进行控制。 整体的循环过程构成了系统的主工作流程。下附部分源程序:

  library ieee;

  use ieee.std_logic_1164.all;

  use ieee.std_logic_unsigned.all;

  entity TDI is

  port(clk1 :in std_logic;

  h1,h2,h3 :out std_logic;

  v1 :out std_logic;

  v2 :out std_logic;

  v3 :out std_logic;

  fw :out std_logic;

  qw :out std_logic;

  cy :out std_logic;

  tb :out std_logic;

  pig,ptg :out std_logic;

  end TDI;

  architecture rtl of TDI is

  component dff ——器件例化

  ......

  begin

  process(clk1)

  begin

  if(clk1‘event and clk1=‘1‘)then

  if(count6="01")then

  count6<=(others=>‘0‘);

  else

  count6<=count6 + 1;

  end if;

  end if;

  —— clk2<=count6(0);

  end process;

  dffx: dff port map(count6(0),clk1,clk2);

  process(clk2)

  begin

  if( clk2‘event and clk2=‘1‘)then

  if(count1="10")then

  count1<=(others=>‘0‘);

  else

  count1<=count1 + 1;

  end if;

  end if;

  end process;

  ......

  2.3  CCD 驱动时序的系统仿真

  使用 QuartusII 软件对驱动时序发生器进行仿真。在整个帧周期中,系统先进入感光阶段,感光区像元进行电荷积累,同时存储区、转移寄存器、输出电路将上一帧的电荷信号读出;然后进行转移阶段,将感光区的像元电荷整帧转移到存储区;系统再次进入感光阶段,将本帧信号读出,同时感光区进入下一帧电荷积累。输入复位信号(Op) 外接一个RC 充电电路。 系统一上电,输入为低电平,系统各信号复位;经过短暂延时后,变为高电平,系统开始运行由QuartusII 软件根据系统设计选择相应的CPLD 器件,选用Altera 公司的EPM7128SLC84-7。驱动时序仿真波形如图4 ,图5所示(分别对比 fairchild 公司技术资料所给垂直转移时钟,水平转移时钟指标)

 

3 结 论

  以上设计首次用CPLD代替了传统的IC实现了对TDI/CCD的时序驱动。仿真结果达到了fairchirld 公司技术资料所给的垂直转移时钟使能时间750ns,水平转移时钟周期40ns的技术指标。在重庆光电所的测试中,该驱动时序发生器能有效驱动TDI/CCD芯片和CDS(相关双采样)信号,并且工作稳定可靠,其开发制造过程简化了以往硬件的开发制造过程。

参考文献

  1  王庆有。 图像传感器应用技术。 天津: 电子工业出版社,2003

  2  宋万杰,罗丰,吴顺军。 CPLD 技术及其应用。 西安:西安电子科技大学出版社,2000

  3 谷林,胡晓东,罗长洲,等。 基于 CPLD 的线阵 TDI/CCD 光积分时间的自适应调节。 光子学报,2002 ,31 (12) :1533~1537

  4 张虎, 李自田, 汶德胜。 一种多 TDI/CCD 系统时序产生方法。 微计算机应用,2002 ,23 (5) :296~298

 
打赏
 
更多>同类技术
0相关评论

推荐图文
推荐技术
点击排行
网站首页  |  免责声明  |  联系我们  |  关于我们  |  网站地图  |  排名推广  |  广告服务  |  积分换礼  |  RSS订阅  |  违规举报  |  鲁ICP备12015736号-1
Powered By DESTOON